Discover
3D InCites Podcast

3D InCites Podcast
Author: Francoise von Trapp
Subscribed: 3Played: 10Subscribe
Share
© 2023 3D InCites, LLC
Description
As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.
101 Episodes
Reverse
We've made changes to the 3D InCites Awards Program and the 2024 Yearbook! This recording of the recent 3D InCites 411 explains everything you need to know to participate in these programs. In this information session and Q&A you'll learn about:💡 The new 3D InCites Awards Format and Nomination Process💡The 2024 Yearbook Opportunities and Schedules💡New Offerings for 2024 including Webinars and Visits from the QueenFind more information on Sponsorship, Advertising, and new opportunities, use the links below: Yearbook Editorial and Advertising3D InCites Awards SponsorshipsA Visit from the Queen of 3DSupport the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
You are now listening to the 100th Episode of the 3D InCites Podcast! To celebrate, Françoise sits down with Erica Folk and Tarak Railkar, both representatives of the International Microelectronics and Packaging Society – also known as IMAPS. 3D InCites is the official Industry Partner of IMAPS and the Official podcast of the IMAPS Symposium. In this episode, you’ll get a look behind the scenes of this largely volunteer-led organization, and the opportunities the Society provides its members. Folk, incoming IMAPS president, talks about the work her predecessor, Beth Keser, set in motion, particularly around workforce development, that she intends to keep moving forward during her two-year term. As General Chair-Elect, Railkar leads the technical committee, and provides some insight on the latest drivers that inspire the topics addressed in the Keynote talks and technical program for this year's IMAPS International Symposium, which takes place October 2-5 in San Diego, CA. He explains how attendees contribute to the topic selection through survey participation, what led to this year’s focus on Artificial Intelligence, and how it impacts the microelectronics industry. There's a Keynote talk dedicated AI, a professional development course, as well as a panel discussion. Conversation also focuses on the DEI Panel discussion, as well as student participation, the growing popularity of poster sessions, networking opportunities, and how to take advantage of all that is available. You’ll also get some valuable tips on how to network effectively!To learn more about the IMAPS Symposium, and register, visit the website. Contact Our GuestsErica Folk, President-elect, IMAPS (Manager, MMIC and RFIC Design, Northrop Grumman)Tarak Railkar, General Chair-elect, IMAPS International Symposium (Principal Packaging Engineer / SMTS, Qorvo)Next week, on the 3D InCites podcast, we’ll be bringing you the 3D InCites 411 – Ask me Anything, with me, Françoise von Trapp. I’ll be speaking about the changes coming for the 2024 3D InCites Awards, the 2024 Yearbook, and how your company can make the most of them. This will be a recording of a live event taking place on September 19. If you’re interested in attending the live event on Zoom, Register Here.IMAPS International IMAPS is the largest society dedicated to microelectronics and electronics packaging advancement.Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
The semiconductor industry is in an interesting position – on one side, the demand is there for it to become a $1T industry sometime in the next 7-10 years. But headwinds – including a current downturn, has many companies putting the brakes on spending. In this episode, Françoise von Trapp talks with Joe Cestari and Martijn Pierik, of Kiterocket, about why companies should think twice about cutting their marketing budget and what they can do to move up in a downturn. You’ll learn about the cyclic nature of the semiconductor industry and the general philosophy behind why companies cut their budgets when facing a lull in revenue. You’ll also learn why that’s not necessarily the best business decision, and how investing in the health of your brand is critical to position your company for growth. If you don’t have a choice and your marketing budget is cut, Pierik and Cestari offer tips on how best to approach prioritizing your marketing and communications activities. Lastly, you’ll learn about Kiterocket’s PR-forward approach to marketing communications, and what sets the agency apart from others serving the semiconductor and microelectronics industries. To learn more, visit www.kiterocket.comFind Our Guests on LinkedIn Martijn Pierik, Founder and Chair, Kiterocket Joe Cestari, Executive Director, KiterocketComing up Next.... Join us next week for a preview of the International Microelectronics and Packaging Society International Symposium. I’ll be speaking with Erica Folk, incoming IMAPS president, and members of the committee about what you can expect from this year’s event that takes place October 2nd to 5th in San Diego, CA.Kiterocket A global strategic marketing agency serving the semiconductor and sustainability industries.Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM. As memory specialists who recently joined the UCIe Consortium, Winbond is helping to address integrating memory in chiplet architectures. Listen in to learn about the difference between code storage and data storage, and why both are critical for supporting today’s advanced smart device cryptography needs. You’ll also learn about data labeling used in AI and machine learning applications. Lastly, you’ll learn about all the different memory solutions Winbond provides for these applications. To learn more, visit www.winbond.comConnect with the Speakers on LinkedIN Alex Wei, Marketing Director, Winbond Electronics Omar Ma, DRAM Marketing Manager at Winbond ElectronicsWinbond Electronics Specialists in high-performance memory for smart industrial and consumer applications. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This week’s episode dives deep into the world of X-ray inspection and its many uses in today’s high-density 3D heterogeneous integration technologies for semiconductor manufacturing. Françoise von Trapp speaks with subject matter expert, Chris Rand, of Nordson Test and Inspection. You’ll learn the basics – how X-ray inspection is used in semiconductor manufacturing and how that has changed over the years. The challenges facing manufacturers as heterogeneous integration schemes become more advanced – with 3D stacking and chiplet architectures are also discussed.You’ll also learn why achieving zero defects is so important for today’s semiconductor and microelectronics devices and the different strategies for achieving zero defects. Lastly, you’ll learn about some solutions provided by Nordson Test and Inspections to help you execute those zero-defect strategies. You can learn more about the new Quadra Pro at the Nordson Test & Inspection website. Contact Our Guest on LinkedINChristopher Rand, Product Line Manager at Nordson Test and InspectionNordson Test and Inspection Delivering best-in-class test, inspection, and metrology solutions for semiconductor applications. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this ecosystem. You’ll learn about the status of the current global semiconductor legacy equipment and parts market and how it is being impacted by the current strain on the US and China relationship, as well as how it’s impacting semiconductor manufacturing as demand for devices continues to rise. In response to this, SurplusGLOBAL is introducing its Global Parts Platform. You’ll find out what it is and how it’s satisfying a need in the semiconductor industry. Listen in as the SurplusGLOBAL team provides important details of how the platform is structured, and how you can benefit from participating in it. Contact Our Guests on LinkedInBruce Kim, CEO, SurplusGLOBALDaniel Kim, Managing Director, Global Parts PlatformRose Lee, Marketing Manager, SuplusGLOBAL SurplusGLOBAL SurplusGLOBAL is one of the largest one-stop platforms for pre-owned semiconductor equipment. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live at IMAPS CHIPCon, where experts in heterogeneous integration and chiplet-enabled advanced packaging schemes gathered to address some of the semiconductor manufacturing industries most pressing technical and industry-related challenges. Françoise von Trapp speaks with Scott Sikorski, of IBM who demystifies the complexities of the CHIPS Act, and the opportunities available for companies hoping to participate in R&D for advanced packaging. He also discusses the challenges of onshoring commercial advanced packaging. She also interviews Amkor’s Mike Kelly and ASE Group’s Ou Li, two of the panelists who participated in the panel discussion moderated by Jan Vardaman. The panel addressed the question, Are Chiplets the Answer for AI, ML, and Photonic/co-packaged optics?Kelly and Li share some of the key takeaways from the panel discussion, such as the challenges chiplet architectures address for AI, ML, and photonics/co-packaged optics, as well as outsourced semiconductor assembly and test service providers (OSATS) readiness for chiplet integration. They also talk about the availability of 3rd party chiplets, and help unravel the mysteries of the available chiplet interfaces, like the Universal Chiplet Interconnect Express (UCIe), To learn more about takeaways from CHIPCon, you can read Françoise’s blog post here. Contact Our Guests on LinkedInScott Sikorski, IBMMike Kelly, Amkor Technology Ou Li, ASE GroupSupport the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Françoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023. She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and how are they being impacted by the talent shortage?Franziska Petersen, Edwards talks about the company’s role in the Semiconductor Climate Consortium. Alan Weber, CImetrix by PDF Solutions talks about the adoption and use of standards and how it impacts KPIs. Paul Lindner. Dave Kirsch, and Garrett Oakes, EV Group, talk about what it means to win its 11th Triple Crown for TechInsights Customer Service Satisfaction Survey.Giancarlo De la Garza, Nordson Test, and Inspection, talks about the acquisition of CyberOptics and what that means for the company’s product portfolio. Keith Best, Onto Innovation, shares some news about its Application Center of Excellence focused on advanced packaging for panels.Sally Anne Henry and Jim Straus, ACM Research talk about reasons why they think we’re in the midst of a talent shortage across the globe. Abdul Lateef, CEO, PlasmaTherm explains the role 200mm manufacturing at legacy nodes plays in helping the industry achieve $1T. Dieter Rathei, DR Yield, talks about the new fab expansions needing state-of-the-art data management tools to achieve better manufacturing efficiencies.Peter Dijkstra, Trymax Semiconductor, talks about opening Trymax USA, driven by the push to onshore manufacturing in the US. Vinay Patwardhan, Cadence, discusses the different EDA needs of 3D HI multi-chiplet systems, compared with simple 2D advance packaging. Feng Ling, Xpeedic, talks about Metis, the company's simulation tool for 2.5D and 3D IC that won the Herb Reiter Design Tool of the Year Award.David Doyle, Heidenhain, talks about how the company’s motion control products support the precision and accuracy needs of today’s advanced packaging technologies. Joe Gaustad, ClassOne Technology, talked about the company’s collaboration with universities to bring state-of-the-art tools to the hands of engineering students. Jobert Van Eisden, MKS Instruments, talks about how next-generation Cu-to-Cu plating chemistry makes a low-temperature die-to-wafer hybrid bonding process. Joel Scutchfield, Koh Young America talks about the company’s recent entrance into the heterogeneous integration space from PCB assembly space with automated optical inspection tools. Martijn Pierik, Kiterocket talks about the Kiterocket Lounge hospitality space and the exciting panel discussion they organized and hosted on behalf of its clients that focused on how AI is shaping the semiconductor industry. Spencer Wall, DSV-IMS talks about the role a global transport and logistics company plays in supporting a robust semiconductor supply chain. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Françoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening. The semiconductor industry is growing at an amazing rate as demand for computer chips increases in everything from traditional applications like high-performance computing, data centers, and network architectures to automotive, artificial intelligence, and machine learning applications. Experts anticipate the industry will become a $1T industry by the 2030s. But headwinds including supply chain disruptions, the impending restrictions on PFAS chemicals that are used in semiconductor manufacturing, and the growing talent shortage will cause delays. From this exclusive interview with Ajit Manocha, you’ll learn why these headwinds threaten growth and SEMI’s efforts in addressing them. Contact Ajit Manocha on LinkedIn.SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
At SEMICON West, one of the key areas of discussion was the Path to Net Zero. What can we do to make sure the semiconductor industry grows in a way that is beneficial to the planet and shows cross-industry leadership? Françoise von Trapp talks to SEMI’s Dr. Mousumi Bhat, VP of Global Sustainability Programs, and Collin O’Mara, CEO of the National Wildlife Federation about key takeaways from the sessions at the Climate Equity & Social Impact Pavilion at SEMICON West.The program brought together leading climate and climate justice experts from across the United States, federal agencies like US EPA and the newly established Chips for America office, a White House advisor, and many SEMI member companies such as Lam Research, ASML, Western Digital, and TEL. O’Mara talks about why the CEO of the NWF is at SEMICON West, and the opportunities he sees for the semiconductor industry to address the climate crisis.Bhat explains how the Climate Equity Pavilion relates to SEMI’s global work to help the industry collaborate for net zero through the Semiconductor Climate Consortium and other efforts. She also explains the importance of collaboration across the value chain to achieving Net Zero Goals, and what the industry is doing now to accelerate progress. Learn more about SEMI's Semiconductor Climate Consortium. Connect with our Guests on LinkedIN Dr. Mousumi Bhat, VP of Global Sustainability ProgramsCollin O’Mara, CEO of the National Wildlife Federation SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Trymax Semiconductor When Plasma Matters. High-performing solutions for volume manufacturing. Nordson Test and Inspection Delivering best-in-class test, inspection, and metrology solutions for semiconductor applications. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, Françoise von Trapp talks with SEMI’s Bettina Weiss, and Bindiya Vakil, of Resilinc about how to navigate the complexities of the semiconductor supply chain. The electronics industry continues to be in a state of flux. After two years of shortages, delays, and skyrocketing costs, the causes of supply chain disruptions keep changing rapidly. There is no single cause. Economic uncertainty, legislation, geopolitics, sustainability, and regionalization are all at play. Supply chain managers must be ready for multiple worst-case scenarios and be able to pivot quickly when disruption hits. Agility is the name of the game and companies must act quickly to changing needs. The conversation focuses on why we’re still struggling with supply chain issues after 2 years, and how these disruptions are likely here to stay. The connection between supply chain issues and sustainability is also examined. You’ll learn why traditional approaches are no longer the best way to go, and how you can set your company up for supply chain success. Lastly, you’ll learn about the SEMI Supply Chain Management Initiative, led by an Industry Advisory Council that now includes ASE, ASM, Dell, GlobalFoundries, Google, Infineon, Intel, KLA, Merck, Schneider Electric, and TSMC.To hear more from Bindiya Vakil, listen to the 2022 podcast episode from SEMI ISS 2022: A Conversation about Creating a Risk-Ready Supply Chain. Connect with our Guests on LinkedIn Bettina Weiss, Chief of Staff and Corporate Strategy, SEMIBindiya Vakil, CEO and Founder, ReslincSEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. DSV Inventory Management Solutions DSV IMS is here to optimize your inventory management and reduce your total cost of ownership.Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
For this week’s episode, we’re taking you to SEMI Europe’s 3D and System Summit, which took place last month in Dresden Germany. If you didn’t get to attend, you’re in luck, because in this episode, Françoise hands over the microphone to Jan Vardaman, who moderated a panel Panel Discussion titled: Customer-Supplier Partnering to Overcome Challenges in 3D. Industry experts Raja Swaminathan, of AMD; Andre Blum, of Audi; and Eric Beyne, imec lend their voices to the conversation. The panel address the main drivers for 3D in different applications spaces. They also discuss the importance of partnerships and collaboration to implement heterogeneous integration solutions. There is a focus on evolving automotive electronics, and how 3D integration technologies are coming into their own to provide solutions as more advanced technology is needed alongside legacy node products. Find the Panelists on LinkedIn· Moderator – Jan Vardaman, Techsearch International· Raja Swaminathan, AMD· Andre Blum, Audi· Eric Beyne, imec Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Françoise von Trapp interviews Dave Thomas, of the SPTS Division at KLA, to talk about the evolution of wafer-level packaging, what’s been driving the market to adopt more advanced processes over the past 20 years, and the role KLA and specifically the SPTS division has played in bringing these technologies to commercialization. The specific technology focus is on plasma dicing, its uses, particularly in die-to-wafer hybrid bonding, and the path to adoption. Thomas addresses in detail some of the process challenges and how SPTS has addressed them. He also talks about the fast-moving technical requirements that make the processes for packaging applications highly competitive. To learn more about plasma dicing, read this article published by KLA on 3D InCites. KLA, SPTS Division KLA provides semiconductor equipment for metrology, inspection, wafer processing, and more. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this special episode of the 3D InCites Podcast, in partnership with the International Microelectronics and Packaging Society (IMAPS), we talk to Steve Kummerl,TI; and Mark Gerber, ASE, about the upcoming CHIPcon event which takes place July 24-27 in San Jose CA. This conference is a rebrand and restructuring of what was formerly the Advanced System in Packaging Symposium. Kummerl is the General Chair for the event, and Gerber is on the IMAPS Academic Committee. They are part of the team that put together a very robust agenda, with expert speakers from across the chiplet ecosystem. CHIPCon stands for Chiplet Heterogeneous Integration and Packaging Conference. Kummerl and Gerber talk about the motivation for repositioning the conference, and how the speakers were selected to represent the end-to-end chiplet ecosystem. They also explain how CHIPCon is differentiated from the annual IMAPS International Device Packaging Conference. They provide some details of what attendees can expect to learn by attending this event. Note that Early Bird Registration AND the hotel block ends today, June 30, 2023. Registration for both is found at www.chipcon.org. IMAPS International IMAPS is the largest society dedicated to microelectronics and electronics packaging advancement.Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode of the 3D InCites podcast is brought to you by our sustaining members. Shout out to our first official sustaining members Lori McDonald of Deca, and Brian Schieman, of IMAPS. Thanks for helping us continue to create great content! At ECTC 2023, which took place earlier this month, the password was hybrid bonding. In this episode, you’ll hear from our member companies that specialize in hybrid bonding solutions: Adeia, EV Group, and Onto Innovation. You’ll also hear about a novel die-to-wafer process technology from CEA Leti, and an alternative to Die-to-wafer hybrid bonding being developed by the UCLA CHIPS program. Thomas Uhrmann, Markus Wimplinger, and Dave Kirsch, EVGroup, talk about the company’s capabilities in supporting both wafer-to-wafer hybrid bonding, and the work being done at the Heterogeneous Integration Competency Center in Austria. Adeia’s Laura Mirkarimi and Abul Nuruzzaman, pioneers of hybrid bonding, help dispel some misconceptions about the commercialization of Die-to-wafer hybrid bonding. They talk about the advantages of investing in not only the technology license but the technology transfer. Monica Pau, Onto Innovation, talks about the events focused on hybrid bonding, but also some insights into glass core IC substrates, and Onto Innovation's capabilities in both. Emilie Bourjot, CEA-Leti, describes a novel self-assembly process that allows for improved alignment accuracy and increased throughput during die-to-wafer hybrid bonding. Subu Iyer, of UCLA CHIPS, offers a new approach to copper-to-copper thermocompression bonding that he says provides an alternative to die-to-wafer hybrid bonding. Next up on the 3D InCites Podcast: On July 6, Dave Thomas, of KLA’s SPTS division will talk about the advantages of plasma dicing for die-to-wafer hybrid bonding. That episode is sponsored by KLA. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this SEMICON West podcast preview episode, Françoise talks with SkyWater’s CEO Tom Sonderman, who will deliver a keynote talk, “Creating a Path to Talent”. addressing the workforce shortage currently facing the semiconductor industry.Semiconductor manufacturing is on a path to becoming a $1Trillion industry somewhere around 2030. New fabs are being constructed all over the world to meet the growing demand for computer chips. In the US, the CHIPS and Science Act legislation and the promise of a $52B investment to fuel onshore manufacturing is driving this growth. One of the many challenges is building the workforce to support this flourishing industry. Sonderman provides the back story on how the US semiconductor industry got into this talent crisis and what can be done about it. He gives a glimpse of some of the programs launched at SkyWater to provide pathways for recruitment, to fill critical roles from fab technicians to Ph.Ds. You can catch the full Keynote talk during the CEO Summit Keynote session, The Path to Talent, which takes place on Thursday, July 13 at SEMICON West. SkyWater is also working with the SEMI Foundation on workforce development programs. Check out all the CEO Summit Keynotes here.Connect with Tom Sonderman on LinkedIn. SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
The 3D InCites community had 24 of its members who were either presenting, exhibiting, or both. This episode features conversations Françoise had with many of the participating members about the key takeaways they got from the event, as it relates to their company’s technology goals. Rozalia Beica and Venkata Mokkapati, AT&S talk about the important role advanced IC substrates have in today’s advanced microelectronics. They addressed questions about the lack of IC substrate manufacturing in the US, and how AT&S is positioned to help support that need. Ram Trichur, of Henkel Corporation accepted the Material Supplier of the Year 3D InCites Award and talked about changing materials requirements to support automotive electronics requirements. Ralph Zorberbier and Rolland Rettenmeier of Evatec talk about advancements in physical vapor deposition for fan-out wafer-level packaging, and thermal management solutions for HPC. They also talk about the traction fan-out panel level packaging is getting. David Levy, of Mosaic Microsystems, was at ECTC to learn about emerging applications that could benefit from the company’s thin glass handling solutions. Stephan Schmidt, LPKF Laser, explains why glass is such an important topic right now in the microelectronics community and the role LPKF has played in solving glass challenges – such as forming through glass vias. Irving Wang, MRSI, talks about what he came to learn at ECTC 2023, and the current focus on hybrid bonding, and the role MRSI plays in die-to-wafer hybrid bonding. Garry Pycroft, Deca, sings the praises of ECTC, and what a great event it is. He also talks about the different segments of the market that Deca’s technologies serves. Curtis Zwenger, at Amkor Technology, shares the news about opening of the smartest OSAT factory in the OSAT world, located in Vietnam. Dave Thomas, KLA’s SPTS division, talks about all the information he gets out of ECTC, and how it relates to what SPTS is working on to support emerging technologies. C.P. Hong and Vikas Gupta, from ASE Group, talk about the company’s new product announcement, FoCoS Bridge, and how it fits into the VIPack pillars. Debbie-Claire Sanchez, ERS Electronic, talks about the company’s efforts to build a portfolio around warpage, with the launching of its warpage metrology system. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, recorded live at ECTC 2023, Françoise interviews some of the key speakers about the hot topics of the week: Quantum computing, the Chips and Science Act, and workforce development. Additionally, she speaks with the winner of the first-ever, IEEE EPS William Chen Distinguished Service Award. The episode concludes with a conversation with the event organizers about changes and future plans. Keynote speaker, Mike Manfra, physics professor at Purdue University, and Scientific Director, Microsoft Quantum Lab West Lafayette, talks about quantum computing — what it is, why we need it, and what challenges and opportunities exist from the microelectronics advanced packaging community to bring it into manufacturing. Dick Otte, Promex, and Joshua Dillon, Marvell, talk about the key takeaways from the Chips Act Special Session – Advanced Packaging in North America, building the ecosystem. Kim Yess, Brewer Science, talks about the Diversity and Career Growth Panel, and the key takeaways discussed by the panel on diversifying our workforce to meet National needs as outlined by the CHIPS Act Initiative. Shaw Fong Wong talks about what inspires him to volunteer his time to the EPS Society. Ibrahim Guven and Florian Herrault wrap up the episode with a look at the success of this year’s format changes and future plans for ECTC 2024 and beyond. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
We are just about 5 weeks away from the biggest event of the year for the semiconductor industry – SEMICON West, which takes place July 11-13 at the Moscone Center in San Francisco. This annual event is the flagship of SEMI. The days are packed with conference sessions, the show floor showcases the latest and greatest technologies, the special pavilions are humming with activity, and the networking receptions and satellite parties offer great opportunities for networking. In this episode, Françoise talks with Joe Stockunas, President of SEMI Americas, about some significant and exciting changes happening this year, such as the CEO Summit, which focuses on the path to $1T, the path to Net Zero, and the path for talent. He talks about the 20 under 30 program, recognizing significant contributions by semiconductor technologists who are under 30 years of age. There will be a SemiSisters reception, co-hosted by 3D InCites and sponsored by Edwards, celebrating the women who work in the semiconductor industry. To enhance the attendee exposition experience, new dining options were added that feature local San Francisco fare. We also get a sneak peek at more changes coming in 2025. Learn more and register at the SEMICON West website. Connect with Joe Stockunas on LinkedIN. SEMI A global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Continuing our focus on sustainable semiconductor manufacturing, in this episode Françoise speaks with Cédric Rolin, project manager at imec, about its Sustainable Semiconductor Technologies & Systems (SSTS) research program. Launched by imec in 2021, the SSTS rallies stakeholders from across the semiconductor industry to help reduce the ecological impact of the IC value chain. In response to mounting concerns about climate change, tech companies around the world are accelerating efforts to complete carbon neutrality for their supply chains and products. The semiconductor industry recognizes its pivotal role in this endeavor. Studies show that almost 75 percent of mobile devices’ CO2 emissions can be traced back to the underlying manufacturing process – with chip production being responsible for nearly half of that footprint. Against this backdrop, the SSTS program provides detailed information on the environmental impact of choices made during semiconductor technology's definition phase. To date, SSTS has 15 member partners including Google, Amazon, Apple, Meta, and Microsoft; GlobalFoundries, TSMC, Samsung, and Rapidus; and equipment suppliers including Applied Materials, ASML, Edwards, Kurita, SCREEN, and Tokyo Electron. In this podcast, you’ll learn the back story of the program, how it's structured, what role these companies play, how they developed a new data tool called imec.netzero, how the program will help companies achieve Net Zero Emissions, and more. imec will be discussing this important topic further at its ITF Semicon USA, in a fireside chat with imec’s Emily Gallagher and SEMI’s Mousumi Bhat. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.