Discover3D InCites Podcast
3D InCites Podcast
Claim Ownership

3D InCites Podcast

Author: Francoise von Trapp

Subscribed: 3Played: 11
Share

Description

As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.
125 Episodes
Reverse
This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work. Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates in heterogeneous integration, and how it solves the challenges of forming interconnects through glass substrates. Dave Taraci, of Carl Zeiss Microscopy, explains how advanced microscopy techniques address the challenge of analyzing mixed material devices such as chiplets and heterogeneous integration packages in microelectronics. Ken MacWilliams, of Multibeam, addresses the challenges of chiplet communication with large interposers and high-density interconnects.Debbie Claire Sanchez of ERS Electronic, GmbH, talks about the company’s culture steeped in diversity, equity, inclusion and belonging. Charles Woychik, of NHanced Semiconductors, describes how Bob Patti’s leadership and culture of caring at NHanced Technology has created a positive work environment and successful company. Craig Bishop of Deca talks about the latest chapter of the Adaptive Patterning story. Adaptive Pad Stacks are a continuation of Adaptive Patterning, addressing misalignment issues in large-scale interposers. Vikram Turkani, of PulseForge, discusses how ever-thinning wafers used in 3D stacking called for new solutions for temporary bond/debond processes. EV Group EV Groups supplies high-volume equipment and process solutions for semiconductor manufacturing. KLA, SPTS Division KLA provides semiconductor equipment for metrology, inspection, wafer processing, and more. ASE Group ASE plays a significant role in the development of the world’s most innovative electronics. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending.  Alex Ospina of ACM Research discussed the latest technologies in wafer-level packaging, and the company’s focus on developing novel IP technologies to address industry challenges. You’ll hear about the company’s new vacuum cleaning tool designed to remove flux from bonds in smaller chiplets. You’ll also learn about the company’s approach to reducing its environmental impact. Tim Olson, of Deca, shares big news about the company’s collaboration with ASU to create an open lab for innovation for innovation, licensing its M-Series and Adaptive Patterning technology, and working with ASU to outfit a fab with unique equipment.Laura Mirkarimi and Oliver Zhao, of Adeia, explain the important role optical interferometry plays in atomic-level hybrid bonding. Zhao explains how they are using AI-powered neural networks to identify defects in the hybrid bonding process, with a focus on categorizing defects based on their relevance to certain process steps.Manuela Junghähnel, of Fraunhofer IZM-ASSID,  explains her new role taking over the leadership of IZM-ASSID, from Jürgen Wolf.  She talks about learning the pilot scale to the production line created by Wolf. She also explains the relationship from the parent IZM and IZM ASSID.Brian Riley, of QP Technologies, shares a history of advanced packaging technologies, and the company. He describes QPs' proprietary process for flip chip packages, the use of open mold plastic packages, and overmold QFNs.Justin Locke, of Siemens EDA discusses innovations in functional verification of 3D Heterogeneous integration connectivity. He explains about the importance of formal verification in the design process, highlighting its ability to catch errors early on and prevent physical implementation issues. Peter Cronin, of MRSI Mycronic talks about new technologies and interconnects in optical packaging, highlighting the need for active alignment tools. He introduces the Active Aligner.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia.  Dan Berger,  National Advanced Packaging Manufacturing Program (NAPMP), explains how CHIPS Acts monies are being used to address gaps like advanced packaging and substrate manufacturing, to help revitalize the US semiconductor industry. The focus is on developing domestic manufacturing teams for U.S. leadership in microelectronics, with global collaboration and security in mind. He discusses the importance of advanced packaging roadmaps aimed at scaling down feature sizes, eliminating interposers and integrating chiplets directly onto substrates.  Zachary Holman, of Arizona State University, discusses how ASU’s Fulton School of Engineering is working to advance the college’s research impact in areas such as health, climate, and national security through partnerships with government and industry investments. He highlights ASU’s focus on extending the advanced packaging capabilities of its MacrotTechnology Works (MTW) fab, which allows for larger-scale packaging tools and pilot-to-fab gap closure.  Holman emphasized the importance of providing hands-on experience with state-of-the-art tools and materials for students. This will help provide a skilled workforce that can operate and maintain the latest equipment.  Holman also discussed how ASU is building a platform to democratize innovation by providing access to R&D capabilities for startups and small businesses. Mark Litecky, of Skywater, highlights the need for secure supply chain management and proprietary technology management in the U.S. semiconductor industry. He also stressed the importance of having global capabilities and secure supply chain management in the US, while avoiding duplication in different regions. Litecky also highlighted workforce development, emphasizing the need for more people to understand the value of the field and the various career paths available.  Contact the Panelists on LinkedInDan Berger, Associate Director, NAPMPZachary Holman, Vice Dean, Arizona State University Mark Litecky, Chief Revenue Officer, SkywaterSupport the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging.  Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company,  IBM and Hemanth Dhavaleswarapu of AMD, about chiplet application drivers, such as artificial intelligence. She then talks to Pooya Tadeyon, of Intel, to find out why we are moving to advanced packaging, and particularly chiplet architectures, to enable the future of Moore’s law. You’ll learn about: Chiplets architectures for high-performance applications How chiplets can enable foundational AI models that can be applied to various business use cases Challenges in chiplet design and test The importance of open interface standards Contact our guests on LinkedIn: Arvind Kumar, IBM Hemanth Dhavaleswarapu, AMD Pooya Tadeyon, Intel  Next week, our coverage of IMAPS continues, as we bring you conversations from the Global Business Council, which focused on repatriating the US microelectronics industry. You’ll get perspectives from academia, the government, and industry. IMAPS InternationalIMAPS is the largest society dedicated to microelectronics and electronics packaging advancement.IMAPS Device Packaging ConferenceInterconnects for Tomorrow’s Applications Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal.   Panelists include: Manfred Horstmann, GM and SVP GlobalFoundries DresdenThomas Richter, Senior Vice President & Managing Director, Infineon Technologies DresdenJuergen Schmidt, VP Semiconductor Manufacturing Frontend, Robert Bosch GmbHAndreas Lippert, Head of Department (Vice President) Acquisition, Inward Investment, Saxony Economic Development Corporation The discussion broadened to include the chip industry’s role in sustainability and talent development. Reducing Scope 3 emissions and addressing supply chain challenges are key topics.    From this conversation, you’ll discover: Lessons learned from COVID for creating a resilient supply chainEurope's value proposition for investment in the semiconductor industryGermany’s strengths and unique selling points How to address the talent crisis  Cost management in the semiconductor industry   SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. They discuss her approach to creating end-to-end semiconductor supply chain resilience.    You’ll learn how to adapt to a changing environment through a positive approach that emphasizes risk management and supplier assessment.  You’ll also learn about the benefits of diversifying suppliers of critical components, and how designing in multiple sources can help create resilience in your supply chain. In the second segment of the episode, Françoise hands over the mic to Michael Alexander, of Roland Berger, who led the Panel discussion: Powering Europe's Future - The Impact of Geopolitics on Energy.  Panelists include:  Katharina Westrich, Global Head of Vertical Management Semiconductor, Digital Industries, Siemens AGYvonne Keil, Director Global Procurement, GlobalFoundriesNicolas Leterrier, Semiconductor Sustainability Business Leader, Schneider Electric The group discusses the energy industry’s supply shortage and geopolitical effects, particularly its impact on the European sector of the semiconductor supply chain. You’ll learn about the shift from centralized to distributed energy systems and the potential for AI to improve energy efficiency and sustainability. You’ll also learn about the need for green energy in the semiconductor industry, and the impact it may have on cost.  The conversation shifts to the need to invest in sustainability improvement and upgrades to legacy fabs, and the importance of being willing to pay a premium for green products. SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation.  They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in the workplace. You’ll learn about some startling statistics about women and underrepresented minorities (URMs) in the technology industry, and the goals that WGNSTAR, Merck KGAA Darmstadt Germany, and Onto Innovation have set for themselves to address these numbers. The three speakers talk about how a culture of DEIB will positively impact the future of technology.  They also explain their respective company’s strategies in using DEIB to address the talent shortage in the semiconductor industry. The speakers also field call-in questions from listeners about fostering a culture of inclusion and building the semiconductor workforce. Shari Liss, of SEMI Foundation asks how companies can foster a workplace culture that not only attracts diverse talent, but also ensures an inclusive environment where everyone, regard feels valued, respected, and empowered to deliver their best. Cath Rossi-Roos of Roos Instruments, asks about the willingness of companies to subsidize education of the next generation of engineers to solve the workforce shortage. Joy Racowski, of EMD Electronics asks how we can better frame allyship as an ongoing effort. Caryn Veach, of Kokusai Semiconductor Equipment Corporation asks for advice on creating more male allies on the engineering staff. She also wants to know how they can make women engineers in the field feel part of the company when they aren’t supported by a local office.  Connect with our speakers on LinkedIn: Nigel Wenden,  CEO, WGNSTARLaura Matz, Merck KGAA Darmstadt, Germany and AthiniaMike Rosa, Onto InnovationWGNSTAR, Inc. WGNSTAR is the leading workforce services and semiconductor asset lifecycle management partner.SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ.  In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium. This year’s event will focus on heterogeneous integration and growth drivers for packaging, with speakers from companies like AMD, Intel, Facebook Reality Labs, and more. The General Business Council session will focus on Chips for America funding, doing business in China, and the significant growth of the U.S. semiconductor supply chain, particularly in Phoenix, AZ.  You’ll learn about the key applications driving technology advancements in autonomous vehicles, radar, and high-performance computing. During the panel discussion, you’ll learn about the next killer app and its potential impact of the semiconductor industry. Hayes and Lujan also discuss all the networking opportunities and fund raising activities that you can take part in.  Contact our Guests on LinkedInScott Hayes, Technical Director, NXP Semiconductors Amy Lujan, Vice President, SavanSysRegistration for IMAPS DPC can be found here. You can also register for the Golf Tournament and Hike for DEI at the same time.  If you’d like to participate in the 3D InCites Member and Guest Event – the Backyard Olympics, sign up here. There is no charge to participate, and space is limited.  International Women’s Day is March 8th, and to celebrate, we’re partnering with SEMI to bring you an episode on how companies are fostering and implementing DEIB and allyship in their corporate culture. We’re looking for a few mentor/mentee pairs who are interested in sharing there story, as part of the episode. If you’d like to participate, drop me a line at francoise@3DinCites.com. Interviews must take place by February 23 to be included. IMAPS Device Packaging ConferenceInterconnects for Tomorrow’s Applications Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.  Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions. You’ll also learn about important enabling technologies that ensure the fast and robust connections that hallmark chiplets.Françoise and Jean-Christophe also discuss the key applications using chiplets for their cost-savings and sustainability benefits.  In a bonus interview with Jan Vardaman of TechSearch International, Francoise and Jan discuss how chiplets provide the power efficiency needed for next-generation semiconductor devices.Contact Our Speakers on LinkedIn:  Jean-Christophe Eloy, President and CEO, Yole GroupE. Jan Vardaman, President, Techsearch InternationalSEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Françoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%.The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS. This year's theme will focus on the microelectronics supply chain, sustainability, and workforce development. You'll learn about the importance of Europe's Chips Act in maintaining competitiveness and increasing strategic positioning in the global value chain.You'll also better understand the need for a more resilient supply chain, particularly in light of geopolitical events and the chip shortage.You'll learn,  in detail, about the workforce development challenges facing the entire semiconductor industry, and the approach the EU is taking to address them. To learn more about ISS Europe 2024, and to register, visit the website. Contact Laith Altimime on LinkedIn. SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations.  Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory matters in the US, China, the European Union, India, and around the world.In this episode, Françoise von Trapp talks with Triolo about why understanding the geopolitical climate is critical for industry leaders to develop successful strategies. They discuss how geopolitics is disrupting the $5 Trillion information and communication technology sector.  You’ll learn about:The US’s “Carrots and Sticks” approach to semiconductors. The potential outcome from regional semiconductor manufacturing silos being created by the various CHIPS Acts. What’s happening with China and TaiwanIndia’s efforts in building a semiconductor infrastructure and ecosystem Follow Paul Triolo on X (formerly Twitter) @PSTAsiatech  Or connect with him on LinkedIn:  Paul Triolo, Albright Stonebridge GroupSEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there. Economic trends, industry markets, and growth drivers are a critical part of the discussion, so in this first episode, Francoise speaks with members of SEMI’s Market Intelligence team (MIT), Clark Tseng and Inna Skvortsova to get some of the details. We start with a recap of what happened with the semiconductor markets in 2023, and what MIT expects to see in 2024 as the industry looks to recover from a global economic downturn.  Skvortsova shares key takeaways from her presentation on materials supply and demand growth outlook. You’ll learn what’s driving growth in this industry, and priorities being set for the year.  From Tseng, you’ll learn how geopolitics and the Chips Acts impact the industry. You’ll also learn about the role MIT plays in supporting the industry through its ups and downs. Learn more about SEMI's Market Intelligence TeamContact Our Panelists on LinkedInClark Tseng, Sr. Director, SEMI Market Intelligence Team  Inna Skvortsova, Market Research Analyst in the SEMI Market Intelligence TeamSEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa.  You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby, Human Resources Director at Vodafone. They discuss what current and future leaders need to embrace to be successful. Listen and learn about: What makes a good leader How the leadership paradigm shiftedGaps in current leadership styles or styles that may prevent semiconductor industry growth How to develop the leaders of tomorrow What qualities are most important for tomorrow’s leadersThe impact of diversity, equity, inclusion and belonging in leadership And more….Find the Speakers on LinkedIN·      Cassandra Melvin, SEMI ·      Flemming Kehr, Mercuri Urval·      Christine Pelissier, Edwards·      Emma Derby, Vodafone This wraps up season 3 of the 3D InCite podcast! We’ll take a short break but will be back with all new episodes in 2024. That should give you time to catch up on all the episodes you’ve missed. In the meantime, Happy Holidays from all of us at 3D InCites, to all of you! SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, which was recorded live at SEMICON Europa 2023, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI. She led the session during the Fab Manager Forum on How To Cultivate the Workforce of Tomorrow and moderated a panel discussion on Achieving EU Ambitions Through Successful Recruitment and Retention. It highlights the instrumental role of universities and governments in supporting the industry's growth.Panelists include Richard Goddard, Global Practice Lead for technology Mercuri Urval. Michelle Williams Vaden Deputy Director of SEMI Foundation, and Bernie Carpraro. EU talent development program manager at Intel R&D in Ireland. These experts dive deep into very complex challenges facing the industry to alleviate the talent shortage.  Questions they address include:How Europe’s talent shortage compares with global players, highlighting challenges and opportunities.  Ways to address the skills gap in the semiconductor industry The need to develop an infrastructure for new investments in the workforceThe importance of retaining talent Career and professional development  Maintaining work-life balance The role of diversity, equity, and inclusion in the semiconductor industry They also share some solutions they've implemented at their own companies. Listen in to learn what your organizations can do now to start building the talent pipeline of the future.  Find Speakers on LinkedIn:Cassandra Melvin, SEMIRichard Goddard, Mercuri UrvalMichelle Williams Vaden, SEMIBernie  Carpraro, Intel  SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future.  The panel kicks off by polling the audience on what they think are the most pressing issues facing the semiconductor industry as it endeavors to reduce its carbon footprint while simultaneously growing to meet the demands of semiconductor devices, many of which will help other industries on their paths to sustainability. The panel tackles some grave and difficult questions and offers some useful advice on how to collaborate as an industry and the importance of individual efforts made by companies. What is the role of innovation in achieving these goals? Do we need to have standardization around data? Do we need to report more transparency?  In some places, you’ll hear instances of the audience polling and the results of those informing the questions asked by panel moderator, Jan-Hinnerk Mohr, Managing Director & Partner, Boston Consulting Group.  Panelists   Emily Gallagher, Principal Member of Technical Staff, imecJean-Marc Girard, CTO and SVP of Manufacturing Technologies, Air Liquide Advanced MaterialsBenjamin Sokolowski, Managing Director & VP Government Affairs EMEA, QualcommBill Lussier, Senior Vice President Regional Sales & Deputy GM, Tokyo Electron Europe Ltd.SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023. The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news. Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and shares news of his participation at a Dutch and Flemish delegation in Phoenix Arizona in early December. Mike Motherway, Cimetrix by PDF Solutions, talks about the role cloud-native data tools for AI applications play in global fab expansion. Debbie Claire Sanchez at ERS Electronic explains the company’s partnership with PulseForge to bring photonic debonding to their product portfolio as an equipment integrator of this technology. David Wang and Jim Straus of ACM Research share the news of the company’s expansions in Asia as well as the Grand Opening of its new office in Portland, Oregon. Hans Peters and Emerald Grieg, PTW Group,  introduce a new tool line, SpinTec, established to renew and restore legacy SEZ single wet wafer tools.  Abul Lateef, PlasmaTherm, talks about the company’s latest acquisition of Milan-based Thin Film Equipment, to complete PlasmaTherm’s sputtering and PVD portfolio, and put them near significant customers in Italy.  Neil O’Brien, Finetech, talks about the growing need for high-accuracy die-bonders, and how that’s driving a need for full production tools based on its tabletop machines originally developed for academia.  Harald Eppinger, Koh Young Europe, explains how the company’s 3D optical measurement tools deliver precise data that helps to visualize advanced packaging processes to improve quality and reduce failures.  Dieter Rathai, DR Yield,  shares some feedback from the Fab Manager Forum and how he uses that information to inform his business and improve his company’s product. Spencer Wall, Jeroen Haex, and Michael Murray, of DSV Solutions explain the role a logistics service provider can play in shaping a sustainable $Trillion Era through inventory and supply chain strategies, as well as a commitment to certified alternative fuels for all modes of transport.  Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the roles their company plays in this task. From Paul de Bot of TSMC Europe, you’ll learn about the company’s R&D investment in continued CMOS scaling and 3D integration, as well as a $32B investment worldwide in capacity expansion to support Moore’s Law, specialty technologies for the automotive market, and advanced packaging.  De Bot explains the different strategies used in Europe, including a partnership with Bosch, Infineon, and NXP, and addresses the company’s commitment to green manufacturing and sustainability.  Imec’s Luc Van Den hove explains what he means by “polycrisis” – a word he used to describe the multitude of challenges facing the world, with a specific focus on climate change, and how imec is working to solve these challenges using AI and data analysis. He also talks about the conundrum of using semiconductors to solve climate change, while trying to half our own carbon footprint. Imec is bringing together the entire ecosystem to address these challenges together. Rebecca Dobson, of our member company, Cadence explains the complexities of generative AI, and how it will be a key enabler of growth for the European microelectronics industry. You’ll learn how generative AI impacts team productivity and design team structure, and how it can be used to help us reach our sustainability goals, as well as how it can be used to increase productivity. Lihong Cao, of ASE Group, talks about the challenges the advanced packaging sector is facing as we enter the chiplet era and how to address them. You’ll learn about the importance of developing an integrated chiplet design ecosystem. You’ll also learn how heterogeneous integration can help solve industry challenges in a sustainable way. Contact our Speakers on LinkedIn:·      Paul de Bot, General Manager, EMEA at TSMC Europe·      Luc Van Den hove, President and CEO at imec ·      Rebecca Dobson, Corporate VP, EMEA, Cadence Lihong Cao, Senior Director, Engineering/Technical Marketing, ASE Group SEMIA global association, SEMI represents the entire electronics manufacturing and design supply chain. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this episode, Françoise von Trapp talks with AT&S’s Markus Leitgeb and Tony Gueli, about the complex world of IC substrates for advanced microelectronics. The conversation focuses on technical and commercial challenges, and how they can be overcome when you work with the right substrate partners.You’ll learn about the driving applications for advanced IC substrates, including data storage, data speed, and the automotive industry. You’ll hear about the challenges of keeping up with advanced node technology and the need for reliable interconnects in the assembly industry.Other topics include the oversupply situation in the substrate industry, the importance of maintaining R&D activities, the lack of substrate manufacturing in the US, and the impact of geopolitics on the supply chain.  Connect with our speakers on LinkedIn: Markus Leitgeb, R&D ManagerTony Gueli, Key Account Director  AT&SAT&S is a global manufacturer of high-end PCBs and IC substrates for microelectronics applications. Disclaimer: This post contains affiliate links. If you make a purchase, I may receive a commission at no extra cost to you.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
This Member Spotlight episode was recorded live at the IMAPS International Symposium. Françoise von Trapp speaks with community members who attended and exhibited about what they were showcasing, and what they learned.  John Lannon and Rex Anderson, Micross Components, helped demystify the multiple government funding efforts to onshore advanced packaging.Casey Krawiec of StratEdge Corporation talks about the company’s role in delivering packaging technology for high-frequency applications.Brian Schmaltz, Namics Corporation, talks about the company’s efforts to eliminate harmful PFAS chemicals from their portfolio of products.Vahid Akhavan, PulseForge explains how the company’s core competency — high-powered photonic-based pulsed light technology — is being used for temporary bond/debond processes.Ajinomoto Fine Techno’s Habib Hichri talked about developments beyond its core ABF (Ajinomoto Buil-up Film) to meet the needs of next-generation thin and large packages. QP Technologies' CEO Dick Otte, explains why QP has decided to NOT pursue CHIPS for America Act funding. Paul Ballentine, the co-founder of Mosaic Microsystems, talked about his involvement with the U.S. Government in building out the advanced packaging cluster in Rochester New York. Peter Cronin, MRSI Systems, talked about the company’s latest foray into die-bonding tools – the MRSI 705 HF.Onto Innovation’s Keith Best discussed the implications of Intel’s announcement that they’ve developed a glass core substrate technology for next-generation advanced packaging. Read the accompanying blog post here.Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
In this panel discussion, recorded live at the International Microelectronics and Packaging Society International Symposium, Françoise hands over the mike to Dan Krueger, Honeywell, as he moderates a panel on Diversity in Packaging, Past, Present, and Future. Don’t miss this lively town hall discussion on many important topics in today’s challenging work environment, including the important differences between equity and equality in the workplace. You’ll hear representatives of different generations of IMAPS leadership discuss their experiences as women making careers in the microelectronics industry, the diverse perspectives that each generation experienced, the progress they see in the industry, and suggestions for work left to be done and how to achieve it. They also provide tips on how to improve DEI at companies going forward, the importance of mentorship, and how to be better allies to your peers.  Moderated by Daniel Krueger, Panelists include: ·      Beth Keser, Zero ASIC ·      Erica Folk, Northrop Grumman·      Robin Davis, Deca·      Amy Lujan, Savansys·      Susan Trulli, Retired from Raytheon Next week, we wrap up our coverage of the IMAPS Symposium with our Member Spotlight episode, interviewing 3D InCites Community Members about what they showcased and what they learned at the event. Support the showBecome a sustaining member! Like what you hear? Follow us on LinkedIn and TwitterInterested in reaching a qualified audience of microelectronics industry decision-makers? Invest in host-read advertisements, and promote your company in upcoming episodes. Contact Françoise von Trapp to learn more. Interested in becoming a sponsor of the 3D InCites Podcast? Check out our 2023 Media Kit. Learn more about the 3D InCites Community and how you can become more involved.
loading
Comments 
Download from Google Play
Download from App Store