Discover3D InCites Podcast
3D InCites Podcast
Claim Ownership

3D InCites Podcast

Author: Francoise von Trapp

Subscribed: 5Played: 20
Share

Description

As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.
135 Episodes
Reverse
Send us a Text Message.Recorded live at SEMICON West 2024, SEMI’s flagship tradeshow where the global semiconductor industry gathers to discuss important matters and showcase new products and technology. This episode features Françoise von Trapp’s conversation with Jose Fernandez, Under Secretary of Economic Growth, Energy, and The Environment for the Department of State, to talk about securing critical supply chains for the 21st century. Fernandez discussed the importa...
Send us a Text Message.Recorded live at SEMICON West 2024, the flagship tradeshow for SEMI, where the entire industry gathers to showcase their latest products and technologies. Françoise von Trapp interviews Laurie Locascio, Director of NIST and the Under Secretary of Commerce for Standards and Technology to learn more about the US CHIPS and Science Act, implementation updates, and government investments in semiconductor manufacturing and supply chain. Key takeaways included ...
Send us a Text Message.Françoise von Trapp and AJ Incorvaia discuss the evolution of EDA tools for 3D integration and design tools for 3D ICs and packaging. They talk about the need for real-time 3D visualization and automation capabilities to enable the design and manufacturing of complex 3D ICs. They also highlighted the growing importance of digital twin technology in the semiconductor industry and the challenges of designing and optimizing 3D ICs, including the need for concurrent design ...
Send us a Text Message.In this episode, Françoise von Trapp speaks with Christine King, the world’s first woman CEO of a semiconductor company, about her journey from near destitution to success in a male-dominated industry. Christine recently published a memoir about her journey, called Breaking Through the Silicon Ceiling, which details her journey and provides insights on work-life balance at IBM and beyond. Christine talks about how she overcame adversity and stumbled into engineerin...
Send us a Text Message.Saxony, Germany, has a strong potential to become a global semiconductor location due to its long history in traditional industries, technological base, vast talent pool, strategic location, and investment in education and new talent. In this episode, Françoise von Trapp speaks with Andreas Lippert, of Saxony Trade and Invest, and Jan Klinger, of Fabmatics, about the region's unique ecosystem for microelectronics, government co-funding, and innovation. Y...
Send us a Text Message.Françoise von Trapp talks with ECTC 2024 General Chair, Karlheinz Bock and Program Chair, Michael Mayer about highlights and key takeaways from the 2024 IEEE Electronic Component Technology Conference. (ECTC 2024). Bock and Mayer discussed the growth of ECTC 2024, and how focusing on strategic decisions such as changing the format and increasing the exhibition space helped organizers achieve record attendance. They also describe the volunteering opportunities and t...
Send us a Text Message.This episode features conversations at ECTC 2024 with 11 of 3D InCites community members. You’ll learn about their perspectives on the hottest topics of the times such as thermal management and power issues for AI devices, and glass core substrates versus organic substrates. You’ll also learn about their latest technology advancements key takeaways from ECTC 2024. Guests includeVikas Gupta and Mark Gerber of ASE Group Rao Bonda and Nathan Whitchurch of Amkor...
Send us a Text Message.Recorded live at the 2024 Electronic Component Technology Conference, this episode features conversations Francoise von Trapp has with Keynote Speaker Keren Bergman, Columbia University and co-founder of Xscape Photonics, and Chet Lennox, of KLA. Bergman explains the potential of photonics in AI applications to improve energy efficiency and bandwidth. You’ll learn about the limitations of current photonics technology in data centers and proposes a...
Send us a Text Message.In this preview episode for SEMICON West 2024, Françoise von Trapp and SEMI America’s President Joe Stockunas talk about SEMICON West's Past, Present, and Future. The discussion emphasizes the need for collaboration in the semiconductor industry and the important role SEMI plays in supporting the interests of its global members. Joe updates listeners on semiconductor industry growth and challenges, including sustainability, workforce development, and supply c...
Send us a Text Message.Glass has long been explored as an alternative substrate material to organic laminates and silicon. As high-performance computing and chiplets HPC push the boundaries of existing technology, they are demanding innovative packaging solutions, beginning with innovative substrate materials. This is paving the way for glass. In this episode, Françoise von Trapp and Richard Noack discuss the highlights and takeaways from the recent webinar, Evolving HPC and Chiple...
Send us a Text Message.This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work. Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates in heterogeneous integration, and how it solves the challenges of forming interconnects through glass substrates. Dave Taraci,...
Send us a Text Message.This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending. Alex Ospina of ACM Research discussed the latest technologies in wafer-level packaging, and the company’s focus on developing novel IP technologies to address...
Send us a Text Message.This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia. Dan Berger, National Advanced Packaging Manufacturing Program (NAPMP), explains how CHIPS Acts monies are being used to addre...
Send us a Text Message.This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging. Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company, IBM and Hemanth Dhavaleswarapu of AMD, about chiplet application drivers, such as artificial intelligence. She then talk...
Send us a Text Message.In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal. Panelists include: Manfred Horstmann, GM and SVP GlobalFoundries DresdenThomas Richter, Senior Vice President & Managing Director, Infineon Technologies DresdenJ...
Send us a Text Message.This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. T...
Send us a Text Message.In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation. They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in th...
Send us a Text Message.The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ. In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium. This year’s event will focus on heterog...
Send us a Text Message.In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry. Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes...
Send us a Text Message.Françoise von Trapp and SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%.The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS. This year's theme will f...
loading
Comments 
loading